一 、 实 验 要 求1 、实现功能:(1 )运用动态扫描对8 个数码管进行显示操作;(2) 通过两个按键对时钟的时间进行调整,处于被调整状态下的位能闪耀。2 、硬件知识要求:(1 )掌握数码管的动态扫描;(2) 熟悉各个管脚所接的硬件;(3 )掌握按键去抖动原理;(4 )熟悉程序到实验箱的下载;3 、软件知识要求:(1 )掌握各种编程语句的使用方法;(2 )掌握推断语句的嵌套;二 、 各 个 信 号 所 对 应 功 能CLK1:1KHZ ——作为动态显示扫描时钟及500ms 计数时钟CLK2 :1HZ -— 作为时钟控制信号CS1:自动秒个位进十位控制;CS2 :自动秒进分控制位;Cm1:自动分个位进十位控制Cm2: 自动分进时控制位 ; Ch1 :自动时个位进十位控制位Key1,key2:按键1 ,按键2Ck1,按键1 去抖动后控制位K1:00 正常显示,01调秒同时秒位闪耀,10调分同时分位闪耀,11调时同时时位闪耀Ck2 :按键二按下产生一个上升沿脉冲从而作为手动调整时钟的脉冲Cp: 闪耀控制位,500ms取反一次Clk02 :选用按键脉冲或自动自动脉冲的进位寄存器控制秒个位机电工程系《EDA》实 验 报 告实验题目: 时钟的设计与制作 班级:08 通信 姓 名:学 号:Cs02:选用按键脉冲或自动自动脉冲的进位寄存器控制分个位Cm02: 选用按键脉冲或自动自动脉冲的进位寄存器控制时个位s1,s2,m1 ,m2 ,h1,h2 :送显示的寄存器s01 ,s02,m01 ,m02 ,h01,h02: 时钟秒、分、时寄存器s001,s002,m001 ,m002 ,h001,h002:闪耀用时钟秒、分、时寄存器(闪耀频率为2hz )。当处于调整状态的时送到相应的显示寄存器。abc :74ls138控制信号,由clk1 控制。Led7s: 显示Disp:所要显示的数值三 、 实 验 程 序 及 部 分 仿 真 波 形 图library ieee;use ieee.std_logic_1164 。all;use ieee.std_logic_unsigned.all ;entity colock isport (clk1 ,clk2,key1,key2: in std_logic; abc:out std_logic_vector(2 downto 0 ); led7s:out std_logic_vector (6 downto 0 ) );end entity;architecture one of colock is signal ctrl :std_logic_vector (3 downto 0 ); signal clk02 ,cs02,cm02,cs1,cs2,cm1 ,cm2 ,ch1 ,cp,k2,ck1,ck2:std_logic ;signals1,s2 ,m1 ,m2,h1 ,h2,s01,s02 ,m01,m02,h01,h02 ,s001,s002,m001 ,m002,h001,h002,p1,p2,disp:std_l...