电脑桌面
添加小米粒文库到电脑桌面
安装后可以在桌面快捷访问

VHDL编写的除法器,位数可调,可以进行浮点(小数)运算VIP免费

VHDL编写的除法器,位数可调,可以进行浮点(小数)运算_第1页
1/7
VHDL编写的除法器,位数可调,可以进行浮点(小数)运算_第2页
2/7
VHDL编写的除法器,位数可调,可以进行浮点(小数)运算_第3页
3/7
Libraryieee;Use ieee.std_logic_1164.all;Use ieee.std_logic_unsigned.all;Use ieee.std_logic_arith.all;EntityDIV isGeneric (BN : integer := 6);Port(SYSClk:in std_logic;RESET:in std_logic;BCS: in std_logic_vector(BN-1downto 0);CS:in std_logic_vector(BN-1 downto 0);REF : in std_logic;W_CLK : out STD_LOGIC;SH_H:out std_logic_vector(BN-1 downto 0);YS_H: out std_logic_vector(BN-1 downto 0));End DIV;--------------------------------------------------------------------------------------------------------------------------------------------------------------------------Architecture rtl of DIV issignalYS_N: STD_LOGIC_VECTOR(BN-1 downto 0);signalYS: STD_LOGIC_VECTOR(BN-1downto 0);signalREF_N : std_logic;signalW_CLK_N : STD_LOGIC;signalPRESS : STD_LOGIC;signalPRESS_N : STD_LOGIC;Signalreg_b:std_logic_vector(BN-1 downto 0);Signalreg_b_N:std_logic_vector(BN-1 downto 0);Signalreg_c:std_logic_vector(BN-1 downto 0);Signalreg_c_N:std_logic_vector(BN-1 downto 0);SignalCOUNT:std_logic_vector(5 downto 0);SignalCOUNT_N:std_logic_vector(5 downto 0);Signaltemp:std_logic_vector(BN downto 0);Signaltemp_n:std_logic_vector(BN dow nto 0);signalPLUS : std_logic_vector(BN dow nto 0);signalPLUS_N : std_logic_vector(BN dow nto 0);signalSTATE: STD_LOGIC;signalSTATE_N : STD_LOGIC;--signalREG_B_N : STD_LOGIC_VECTOR(BN-1 dow nto 0);signalSH: STD_LOGIC_VECTOR(BN-1 dow nto 0);signalSH_N: STD_LOGIC_VECTOR(BN-1 dow nto 0);Begin--------------------------------------------------------------------------------------------------------------------------------------------------------process(SYSCLK,RESET)beginif RESET = '0' thenCOUNT <= (others => '0');elsif(SYSCLK'event and SYSCLK ='1') thenCOUNT <= COUNT_N;end if;end process;process(STATE,COUNT)beginif STATE='1' thenCOUNT_N <= COUNT + 1;elseCOUNT_N <= (others => '0');end if;end process;-----------------------------------------------------------...

1、当您付费下载文档后,您只拥有了使用权限,并不意味着购买了版权,文档只能用于自身使用,不得用于其他商业用途(如 [转卖]进行直接盈利或[编辑后售卖]进行间接盈利)。
2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。
3、如文档内容存在违规,或者侵犯商业秘密、侵犯著作权等,请点击“违规举报”。

碎片内容

VHDL编写的除法器,位数可调,可以进行浮点(小数)运算

确认删除?
VIP
微信客服
  • 扫码咨询
会员Q群
  • 会员专属群点击这里加入QQ群
客服邮箱
回到顶部