电脑桌面
添加小米粒文库到电脑桌面
安装后可以在桌面快捷访问

VHDL汽车尾灯设计VIP免费

VHDL汽车尾灯设计_第1页
1/6
VHDL汽车尾灯设计_第2页
2/6
VHDL汽车尾灯设计_第3页
3/6
一、 实验目的 掌握 VHDL 程序设计方法 二、 实验内容和要求 共 6 个尾灯,汽车正常行驶时,6 个灯全灭; 左转时,左边 3 个灯从右到左依次亮灭; 右转时,右边 3 上灯从左到右依次亮灭; 刹车时,车灯全亮;故障时,全部闪烁。 在软件工具平台上,进行 VHDL 语言的各个模块编程输入、编译实现和仿真验证。 三、 实验仪器 计算机 四、 实验方法、步骤及结构测试 1.系统设计与方案: 根据系统设计要求,采用自顶向下设计方法,顶层设计采用原理图设计,它由主控模块、左边灯控制模块和右边灯控制模块三部分组成。 2.系统功能: 用 6 个发光管模拟 6 个汽车尾灯(左右各 3 个),用 4 个开关作为汽车控制信号,分别为:左拐、右拐、故障和刹车。4 个输入信号为: 3.参考 VHDL 源程序 (1) 主控制模块 说明:此程序为系统主控制模块。当左转时,lft 信号有效;右转时,rit 信号有效;当左右信号都有效的时,lr 有效。 library ieee; rit<='0'; use ieee.std_logic_1164.all; entity kz is port(left,right:in std_logic; lft,rit,lr:out std_logic); end kz; architecture kz_arc of kz is begin process(left,right) variable a:std_logic_vector(1 downto 0); begin a:=left&right; case a is when"00"=>lft<='0';----------正常 rit<='0'; lr<='0'; when"10"=>lft<='1';----------左转 lr<='0'; when"01"=>rit<='1';-----------右转 lft<='0'; lr<='0'; when others=>rit<='1';---------STOP lft<='1'; lr<='1'; end case; end process; end kz_arc; 当左右信号都有效时,输出为全“1” (2)左边灯控制模块 说明:此模块的功能是当左转时控制左边的 3 个灯, library ieee; use ieee.std_logic_1164.all; entity lfta is port(en,clk,left:in std_logic; l_out:out std_logic_vector( 2 downto 0)); end lfta; architecture lft_arc of lfta is begin process(clk,en,left) variable tmp:std_logic_vector(2 downto 0); begin if clk'event and clk='1' then if en='1' then------------------故障使能端,高电平有效 if tmp="000" then tmp:="111"; else tmp:="000"; end if;-------------------------en=’1’,故障,左边三个灯一起闪烁 elsif left='0' then tmp:="000"; ------------...

1、当您付费下载文档后,您只拥有了使用权限,并不意味着购买了版权,文档只能用于自身使用,不得用于其他商业用途(如 [转卖]进行直接盈利或[编辑后售卖]进行间接盈利)。
2、本站所有内容均由合作方或网友上传,本站不对文档的完整性、权威性及其观点立场正确性做任何保证或承诺!文档内容仅供研究参考,付费前请自行鉴别。
3、如文档内容存在违规,或者侵犯商业秘密、侵犯著作权等,请点击“违规举报”。

碎片内容

VHDL汽车尾灯设计

确认删除?
VIP
微信客服
  • 扫码咨询
会员Q群
  • 会员专属群点击这里加入QQ群
客服邮箱
回到顶部